Details

Handbook of 3D Integration, Volume 3


Handbook of 3D Integration, Volume 3

3D Process Technology
1. Aufl.

von: Philip Garrou, Mitsumasa Koyanagi, Peter Ramm

151,99 €

Verlag: Wiley-VCH
Format: PDF
Veröffentl.: 22.04.2014
ISBN/EAN: 9783527670130
Sprache: englisch
Anzahl Seiten: 474

DRM-geschütztes eBook, Sie benötigen z.B. Adobe Digital Editions und eine Adobe ID zum Lesen.

Beschreibungen

Edited by key figures in 3D integration and written by top authors from high-tech companies and renowned research institutions, this book covers the intricate details of 3D process technology. As such, the main focus is on silicon via formation, bonding and debonding, thinning, via reveal and backside processing, both from a technological and a materials science perspective. The last part of the book is concerned with assessing and enhancing the reliability of the 3D integrated devices, which is a prerequisite for the large-scale implementation of this emerging technology. <br />Invaluable reading for materials scientists, semiconductor physicists, and those working in the semiconductor industry, as well as IT and electrical engineers.
<p>List of Contributors xvii</p> <p><b>1 3D IC Integration Since 2008 1<br /> </b><i>Philip Garrou, Peter Ramm, and Mitsumasa Koyanagi</i></p> <p>1.1 3D IC Nomenclature 1</p> <p>1.2 Process Standardization 2</p> <p>1.3 The Introduction of Interposers (2.5D) 4</p> <p>1.4 The Foundries 6</p> <p>1.4.1 TSMC 6</p> <p>1.4.2 UMC 7</p> <p>1.4.3 GlobalFoundries 7</p> <p>1.5 Memory 7</p> <p>1.5.1 Samsung 7</p> <p>1.5.2 Micron 8</p> <p>1.5.3 Hynix 9</p> <p>1.6 The Assembly and Test Houses 9</p> <p>1.7 3D IC Application Roadmaps 10</p> <p>References 11</p> <p><b>2 Key Applications and Market Trends for 3D Integration and Interposer Technologies 13<br /> </b><i>Rozalia Beica, Jean-Christophe Eloy, and Peter Ramm</i></p> <p>2.1 Introduction 13</p> <p>2.2 Advanced Packaging Importance in the Semiconductor Industry is Growing 16</p> <p>2.3 3D Integration-Focused Activities – The Global IP Landscape 18</p> <p>2.4 Applications, Technology, and Market Trends 22</p> <p>References 32</p> <p><b>3 Economic Drivers and Impediments for 2.5D/3D Integration 33<br /> </b><i>Philip Garrou</i></p> <p>3.1 3D Performance Advantages 33</p> <p>3.2 The Economics of Scaling 33</p> <p>3.3 The Cost of Future Scaling 34</p> <p>3.4 Cost Remains the Impediment to 2.5D and 3D Product Introduction 37</p> <p>3.4.1 Required Economics for Interposer Use in Mobile Products 38</p> <p>3.4.2 Silicon Interposer Pricing 38</p> <p>References 40</p> <p><b>4 Interposer Technology 41<br /> </b><i>Venky Sundaram and Rao R. Tummala</i></p> <p>4.1 Definition of 2.5D Interposers 41</p> <p>4.2 Interposer Drivers and Need 42</p> <p>4.3 Comparison of Interposer Materials 44</p> <p>4.4 Silicon Interposers with TSV 45</p> <p>4.5 Lower Cost Interposers 48</p> <p>4.5.1 Glass Interposers 48</p> <p>4.5.1.1 Challenges in Glass Interposers 49</p> <p>4.5.1.2 Small-Pitch Through-Package Via Hole Formation and Ultrathin Glass Handling 49</p> <p>4.5.1.3 Metallization of Glass TPV 51</p> <p>4.5.1.4 Reliability of Copper TPVs in Glass Interposers 52</p> <p>4.5.1.5 Thermal Dissipation of Glass 53</p> <p>4.5.1.6 Glass Interposer Fabrication with TPV and RDL 53</p> <p>4.5.2 Low-CTE Organic Interposers 53</p> <p>4.5.3 Polycrystalline Silicon Interposer 55</p> <p>4.5.3.1 Polycrystalline Silicon Interposer Fabrication Process 56</p> <p>4.6 Interposer Technical and Manufacturing Challenges 57</p> <p>4.7 Interposer Application Examples 58</p> <p>4.8 Conclusions 60</p> <p>References 61</p> <p><b>5 TSV Formation Overview 65<br /> </b><i>Dean Malta</i></p> <p>5.1 Introduction 65</p> <p>5.2 TSV Process Approaches 67</p> <p>5.2.1 TSV-Middle Approach 68</p> <p>5.2.2 Backside TSV-Last Approach 68</p> <p>5.2.3 Front-Side TSV-Last Approach 69</p> <p>5.3 TSV Fabrication Steps 70</p> <p>5.3.1 TSV Etching 70</p> <p>5.3.2 TSV Insulation 71</p> <p>5.3.3 TSV Metallization 71</p> <p>5.3.4 Overburden Removal by CMP 72</p> <p>5.3.5 TSV Anneal 73</p> <p>5.3.6 Temporary Carrier Wafer Bonding and Debonding 74</p> <p>5.3.7 Wafer Thinning and TSV Reveal 74</p> <p>5.4 Yield and Reliability 75</p> <p>References 76</p> <p><b>6 TSV Unit Processes and Integration 79<br /> </b><i>Sesh Ramaswami</i></p> <p>6.1 Introduction 79</p> <p>6.2 TSV Process Overview 80</p> <p>6.3 TSV Unit Processes 82</p> <p>6.3.1 Etching 82</p> <p>6.3.2 Insulator Deposition with CVD 83</p> <p>6.3.3 Metal Liner/Barrier Deposition with PVD 84</p> <p>6.3.4 Via Filling by ECD of Copper 84</p> <p>6.3.5 CMP of Copper 85</p> <p>6.3.6 Temporary Bonding between Carrier and Device Wafer 86</p> <p>6.3.7 Wafer Backside Thinning 86</p> <p>6.3.8 Backside RDL 87</p> <p>6.3.9 Metrology, Inspection, and Defect Review 87</p> <p>6.4 Integration and Co-optimization of Unit Processes in Via Formation Sequence 88</p> <p>6.5 Co-optimization of Unit Processes in Backside Processing and Via-Reveal Flow 89</p> <p>6.6 Integration and Co-optimization of Unit Processes in Via-Last Flow 91</p> <p>6.7 Integration with Packaging 92</p> <p>6.8 Electrical Characterization of TSVs 92</p> <p>6.9 Conclusions 96</p> <p>References 97</p> <p><b>7 TSV Formation at ASET 99<br /> </b><i>Hiroaki Ikeda</i></p> <p>7.1 Introduction 99</p> <p>7.2 Via-Last TSV for Both D2D and W2W Processes in ASET 103</p> <p>7.3 TSV Process for D2D 105</p> <p>7.3.1 Front-Side Bump Forming 106</p> <p>7.3.2 Attach WSS and Thinning 106</p> <p>7.3.3 Deep Si Etching from the Backside 107</p> <p>7.3.4 Liner Deposition 107</p> <p>7.3.5 Removal of SiO 2 at the Bottom of Via 107</p> <p>7.3.6 Barrier Metal and Seed Layer Deposition by PVD 110</p> <p>7.3.7 Cu Electroplating 110</p> <p>7.3.8 Cmp 110</p> <p>7.3.9 Backside Bump 111</p> <p>7.3.10 Detach WSS 111</p> <p>7.3.11 Dicing 112</p> <p>7.4 TSV Process for W2W 113</p> <p>7.4.1 Polymer Layer Coat and Development 114</p> <p>7.4.2 Barrier Metal and Seed Layer Deposition 114</p> <p>7.4.3 Cu Plating 114</p> <p>7.4.4 CMP 115</p> <p>7.4.5 First W2W Stacking (Face to Face) 116</p> <p>7.4.6 Wafer Thinning and Deep Si Etching 116</p> <p>7.4.7 TSV Liner Deposition and SiO<sub>2</sub> Etching of Via Bottom 117</p> <p>7.4.8 Barrier Metal and Seed Layer Deposition and Cu Plating 117</p> <p>7.4.9 CMP 117</p> <p>7.4.10 Next W2W Stacking 118</p> <p>7.5 Conclusions 119</p> <p>References 119</p> <p><b>8 Laser-Assisted Wafer Processing: New Perspectives in Through-Substrate Via Drilling and Redistribution Layer Deposition 121<br /> </b><i>Marc B. Hoppenbrouwers, Gerrit Oosterhuis, Guido Knippels, and Fred Roozeboom</i></p> <p>8.1 Introduction 121</p> <p>8.2 Laser Drilling of TSVs 121</p> <p>8.2.1 Cost of Ownership Comparison 121</p> <p>8.2.2 Requirements for an Industrial TSV Laser Driller 123</p> <p>8.2.3 Drilling Strategy 124</p> <p>8.2.3.1 Mechanical 124</p> <p>8.2.3.2 Optical 125</p> <p>8.2.4 Experimental Drilling Results 126</p> <p>8.3 Direct-Write Deposition of Redistribution Layers 126</p> <p>8.3.1 Introduction on Redistribution Layers 126</p> <p>8.3.2 Direct-Write Characteristics 127</p> <p>8.3.3 Direct-Write Laser-Induced Forward Transfer 128</p> <p>8.3.4 LIFT Results 130</p> <p>8.4 Conclusions and Outlook 131</p> <p>References 132</p> <p><b>9 Temporary Bonding Material Requirements 135<br /> </b><i>Rama Puligadda</i></p> <p>9.1 Introduction 135</p> <p>9.2 Technology Options 136</p> <p>9.2.1 Tapes and Waxes 136</p> <p>9.2.2 Chemical Debonding 136</p> <p>9.2.3 Thermoplastic Bonding Material and Slide Debonding 136</p> <p>9.2.4 Debonding Using Release Layers 137</p> <p>9.3 Requirements of a Temporary Bonding Material 138</p> <p>9.4 Considerations for Successful Processing 139</p> <p>9.4.1 Application of the Temporary Bonding Adhesive to the Device Wafer and Bonding to Carrier 139</p> <p>9.4.2 Moisture and Contaminants on Surface 139</p> <p>9.4.3 Total Thickness Variation 140</p> <p>9.4.4 Squeeze Out 140</p> <p>9.5 Surviving the Backside Process 141</p> <p>9.5.1 Edge Trimming 142</p> <p>9.5.2 Edge Cleaning 142</p> <p>9.5.3 Temperature Excursions in Plasma Processes 143</p> <p>9.5.4 Wafer Warpage due to CTE Mismatch 143</p> <p>9.6 Debonding 144</p> <p>9.6.1 Debonding Parameters in Slide-Off Debonding 144</p> <p>9.6.2 Mechanical Damage to Interconnects 144</p> <p>References 145</p> <p><b>10 Temporary Bonding and Debonding – An Update on Materials and Methods 147<br /> </b><i>Wilfried Bair</i></p> <p>10.1 Introduction 147</p> <p>10.2 Carrier Selection for Temporary Bonding 148</p> <p>10.3 Selection of Temporary Bonding Adhesives 151</p> <p>10.4 Bonding and Debonding Processes 152</p> <p>10.5 Equipment and Process Integration 155</p> <p>References 156</p> <p><b>11 ZoneBOND 1 : Recent Developments in Temporary Bonding and Room-Temperature Debonding 159<br /> </b><i>Thorsten Matthias, J€urgen Burggraf, Daniel Burgstaller, Markus Wimplinger, and Paul Lindner</i></p> <p>11.1 Introduction 159</p> <p>11.2 Thin Wafer Processing 159</p> <p>11.2.1 Thin Wafer Total Thickness Variation 161</p> <p>11.2.2 Wafer Alignment 163</p> <p>11.3 ZoneBOND Room-Temperature Debonding 163</p> <p>11.4 Conclusions 165</p> <p>References 166</p> <p><b>12 Temporary Bonding and Debonding at TOK 167<br /> </b><i>Shoji Otaka</i></p> <p>12.1 Introduction 167</p> <p>12.2 Zero Newton Technology 168</p> <p>12.2.1 The Wafer Bonder 168</p> <p>12.2.2 The Wafer Debonder 170</p> <p>12.2.3 The Wafer Bonder and Debonder Equipment Lineups 170</p> <p>12.2.4 Adhesives 170</p> <p>12.2.5 Integration Process Performance 172</p> <p>12.3 Conclusions 174</p> <p>References 174</p> <p><b>13 The 3M<sup>TM </sup>Wafer Support System (WSS) 175<br /> </b><i>Blake Dronen and Richard Webb</i></p> <p>13.1 Introduction 175</p> <p>13.2 System Description 175</p> <p>13.3 General Advantages 177</p> <p>13.4 High-Temperature Material Solutions 178</p> <p>13.5 Process Considerations 180</p> <p>13.5.1 Wafer and Adhesive Delamination 180</p> <p>13.5.2 LTHC Glass Delamination 181</p> <p>13.6 Future Directions 181</p> <p>13.6.1 Thermal Stability 181</p> <p>13.6.2 Elimination of Adhesion Control Agents 182</p> <p>13.6.3 Laser-Free Release Layer 183</p> <p>13.7 Summary 183</p> <p>Reference 184</p> <p><b>14 Comparison of Temporary Bonding and Debonding Process Flows 185<br /> </b><i>Matthew Lueck</i></p> <p>14.1 Introduction 185</p> <p>14.2 Studies of Wafer Bonding and Thinning 186</p> <p>14.3 Backside Processing 186</p> <p>14.4 Debonding and Cleaning 188</p> <p>References 189</p> <p><b>15 Thinning, Via Reveal, and Backside Processing – Overview 191<br /> </b><i>Eric Beyne, Anne Jourdain, and Alain Phommahaxay</i></p> <p>15.1 Introduction 191</p> <p>15.2 Wafer Edge Trimming 192</p> <p>15.3 Thin Wafer Support Systems 194</p> <p>15.3.1 Glass Carrier Support System with Laser Debonding Approach 196</p> <p>15.3.2 Thermoplastic Glue Thin Wafer Support System – Thermal Slide Debondable System 196</p> <p>15.3.3 Room-Temperature, Peel-Debondable Thin Wafer Support Systems 197</p> <p>15.4 Wafer Thinning 198</p> <p>15.5 Thin Wafer Backside Processing 202</p> <p>15.5.1 Via-Middle Thin Wafer Backside Processing: “Via-Reveal” Process 202</p> <p>15.5.1.1 Mechanical Via Reveal 202</p> <p>15.5.1.2 “Soft” Via Reveal 202</p> <p>15.5.2 Via-Last Thin Wafer Backside Processing 203</p> <p>References 205</p> <p><b>16 Backside Thinning and Stress-Relief Techniques for Thin Silicon Wafers 207<br /> </b><i>Christof Landesberger, Christoph Paschke, Hans-Peter Sp€ohrle, and Karlheinz Bock</i></p> <p>16.1 Introduction 207</p> <p>16.2 Thin Semiconductor Devices 207</p> <p>16.3 Wafer Thinning Techniques 208</p> <p>16.3.1 Wafer Grinding 209</p> <p>16.3.2 Wet-Chemical Spin Etching 210</p> <p>16.3.3 CMP Polishing 211</p> <p>16.3.4 Plasma Dry Etching 212</p> <p>16.3.5 Dry Polish 213</p> <p>16.3.6 Chemical–Mechanical Grinding (CMG) 214</p> <p>16.4 Fracture Tests for Thin Silicon Wafers 214</p> <p>16.5 Comparison of Stress-Relief Techniques for Wafer Backside Thinning 216</p> <p>16.6 Process Flow for Wafer Thinning and Dicing 220</p> <p>16.7 Summary and Outlook on 3D Integration 222</p> <p>References 223</p> <p><b>17 Via Reveal and Backside Processing 227<br /> </b><i>Mitsumasa Koyanagi and Tetsu Tanaka</i></p> <p>17.1 Introduction 227</p> <p>17.2 Via Reveal and Backside Processing in Via-Middle Process 227</p> <p>17.3 Backside Processing in Back-Via Process 232</p> <p>17.4 Backside Processing and Impurity Gettering 234</p> <p>17.5 Backside Processing for RDL Formation 237</p> <p>References 239</p> <p><b>18 Dicing, Grinding, and Polishing (Kiru Kezuru and Migaku) 241<br /> </b><i>Akihito Kawai</i></p> <p>18.1 Introduction 241</p> <p>18.2 Grinding and Polishing 241</p> <p>18.2.1 Grinding General 241</p> <p>18.2.1.1 Grinding Method 241</p> <p>18.2.1.2 Rough Grinding and Fine Grinding 242</p> <p>18.2.1.3 The Grinder Polisher 243</p> <p>18.2.2 Thinning 243</p> <p>18.2.2.1 Stress Relief 245</p> <p>18.2.2.2 Die Attach Film 246</p> <p>18.2.2.3 All-in-One System 246</p> <p>18.2.2.4 Dicing Before Grinding 246</p> <p>18.2.3 Grinding Topics for 3DIC Such as TSV Devices 246</p> <p>18.2.3.1 Wafer Support System 246</p> <p>18.2.3.2 Edge Trimming 247</p> <p>18.2.3.3 Grinding to Improve Flatness 248</p> <p>18.2.3.4 Higher Level of Cleanliness 248</p> <p>18.2.3.5 Via Reveal 249</p> <p>18.2.3.6 Planarization 249</p> <p>18.3 Dicing 250</p> <p>18.3.1 Blade Dicing General 250</p> <p>18.3.1.1 Dicing Method 250</p> <p>18.3.1.2 Blade Dicing Point 250</p> <p>18.3.1.3 Blade 251</p> <p>18.3.1.4 Optimization of Process Control 252</p> <p>18.3.1.5 Dicer 252</p> <p>18.3.1.6 Dual Dicing Applications 252</p> <p>18.3.2 Thin Wafer Dicing 253</p> <p>18.3.3 Low-k Dicing 254</p> <p>18.3.4 Other Laser Dicing 254</p> <p>18.3.4.1 Ablation 254</p> <p>18.3.4.2 Laser Full Cut Application 255</p> <p>18.3.4.3 Stealth Dicing (SD) 256</p> <p>18.3.5 Dicing Topics for 3D-IC Such as TSV 257</p> <p>18.3.5.1 Cutting of Chip on Chip (CoC) and Chip on Wafer (CoW) 258</p> <p>18.3.5.2 Singulation of CoW and Wafer on Wafer (WoW) 259</p> <p>18.4 Summary 260</p> <p>Further Reading 260</p> <p><b>19 Overview of Bonding and Assembly for 3D Integration 261<br /> </b><i>James J.-Q. Lu, Dingyou Zhang, and Peter Ramm</i></p> <p>19.1 Introduction 261</p> <p>19.2 Direct, Indirect, and Hybrid Bonding 262</p> <p>19.3 Requirements for Bonding Process and Materials 263</p> <p>19.4 Bonding Quality Characterization 267</p> <p>19.5 Discussion of Specific Bonding and Assembly Technologies 269</p> <p>19.6 Summary and Conclusions 273</p> <p>References 274</p> <p><b>20 Bonding and Assembly at TSMC 279<br /> </b><i>Douglas C.H. Yu</i></p> <p>20.1 Introduction 279</p> <p>20.2 Process Flow 280</p> <p>20.3 Chip-on-Wafer Stacking 281</p> <p>20.4 CoW-on-Substrate (CoWoS) Stacking 283</p> <p>20.5 CoWoS Versus CoCoS 283</p> <p>20.6 Testing and Known Good Stacks (KGS) 284</p> <p>20.7 Future Perspectives 285</p> <p>References 285</p> <p><b>21 TSV Packaging Development at STATS ChipPAC 287<br /> </b><i>Rajendra D. Pendse</i></p> <p>21.1 Introduction 287</p> <p>21.2 Development of the 3DTSV Solution for Mobile Platforms 289</p> <p>21.3 Alternative Approaches and Future Developments 293</p> <p>References 294</p> <p><b>22 Cu–SiO<sub>2</sub> Hybrid Bonding 295<br /> </b><i>Léa Di Cioccio, S. Moreau, Loïc Sanchez, Floriane Baudin, Pierric Gueguen, Sebastien Mermoz, Yann Beilliard, and Rachid Taibi</i></p> <p>22.1 Introduction 295</p> <p>22.2 Blanket Cu–SiO<sub>2</sub> Direct Bonding Principle 296</p> <p>22.2.1 Chemical–Mechanical Polishing Parameters 296</p> <p>22.3 Aligned Bonding 299</p> <p>22.3.1 Wafer-to-Wafer Bonding 299</p> <p>22.3.2 Die-to-Wafer Bonding in Pick-and-Place Equipment 299</p> <p>22.3.3 Die-to-Wafer by the Self-Assembly Technique 300</p> <p>22.4 Blanket Metal Direct Bonding Principle 302</p> <p>22.5 Electrical Characterization 304</p> <p>22.5.1 Wafer-to-Wafer and Die-to-Wafer Copper-Bonding Electrical Characterization 304</p> <p>22.5.2 Reliability 307</p> <p>22.5.3 Thermal Cycling 307</p> <p>22.5.4 Stress Voiding (SIV) Test on 200 °C Postbonding Annealed Samples 308</p> <p>22.5.5 Package-Level Electromigration Test 309</p> <p>22.6 Conclusions 310</p> <p>References 311</p> <p><b>23 Bump Interconnect for 2.5D and 3D Integration 313<br /> </b><i>Alan Huffman</i></p> <p>23.1 History 313</p> <p>23.2 C4 Solder Bumps 315</p> <p>23.3 Copper Pillar Bumps 316</p> <p>23.4 Cu Bumps 319</p> <p>23.5 Electromigration 320</p> <p>References 322</p> <p><b>24 Self-Assembly Based 3D and Heterointegration 325<br /> </b><i>Takafumi Fukushima and Jicheol Bea</i></p> <p>24.1 Introduction 325</p> <p>24.2 Self-Assembly Process 325</p> <p>24.3 Key Parameters of Self-Assembly on Alignment Accuracies 327</p> <p>24.4 How to Interconnect Self-Assembled Chips to Chips or Wafers 328</p> <p>24.4.1 Flip-Chip-to-Wafer 3D Integration 329</p> <p>24.4.2 Reconfigured-Wafer-to-Wafer 3D Integration 331</p> <p>References 332</p> <p><b>25 High-Accuracy Self-Alignment of Thin Silicon Dies on Plasma-Programmed Surfaces 335<br /> </b><i>Christof Landesberger, Mitsuru Hiroshima, Josef Weber, and Karlheinz Bock</i></p> <p>25.1 Introduction 335</p> <p>25.2 Principle of Fluidic Self-Alignment Process for Thin Dies 335</p> <p>25.3 Plasma Programming of the Surface 336</p> <p>25.4 Preparation of Materials for Self-Alignment Experiments 337</p> <p>25.5 Self-Alignment Experiments 338</p> <p>25.6 Results of Self-Alignment Experiments 339</p> <p>25.7 Discussion 341</p> <p>25.8 Conclusions 342</p> <p>References 343</p> <p><b>26 Challenges in 3D Fabrication 345<br /> </b><i>Douglas C.H. Yu</i></p> <p>26.1 Introduction 345</p> <p>26.2 High-Volume Manufacturing for 3D Integration 346</p> <p>26.3 Technology Challenges 346</p> <p>26.4 Front-Side and Backside Wafer Processes 346</p> <p>26.5 Bonding and Underfills 350</p> <p>26.6 Multitier Stacking 352</p> <p>26.7 Wafer Thinning and Thin Die and Wafer Handling 353</p> <p>26.8 Strata Packaging and Assembly 356</p> <p>26.9 Yield Management 359</p> <p>26.10 Reliability 360</p> <p>26.11 Cost Management 362</p> <p>26.12 Future Perspectives 362</p> <p>References 364</p> <p><b>27 Cu TSV Stress: Avoiding Cu Protrusion and Impact on Devices 365<br /> </b><i>Eric Beyne, Joke De Messemaeker, and Wei Guo</i></p> <p>27.1 Introduction 365</p> <p>27.2 Cu Stress in TSV 365</p> <p>27.3 Mitigation of Cu Pumping 368</p> <p>27.4 Impact of TSVs on FEOL Devices 371</p> <p>References 378</p> <p><b>28 Implications of Stress/Strain and Metal Contamination on Thinned Die 379<br /> </b><i>Kangwook Lee and Mariappan Murugesan</i></p> <p>28.1 Introduction 379</p> <p>28.2 Impacts of Cu Contamination on Device Reliabilities in Thinned 3DLSI 379</p> <p>28.3 Impacts of Local Stress and Strain on Device Reliabilities in Thinned 3DLSI 386</p> <p>28.3.1 Microbump-Induced Stresses in Stacked LSIs 387</p> <p>28.3.2 Microbump-Induced TMS in LSI 388</p> <p>28.3.3 Microbump-Induced LMS 389</p> <p>References 391</p> <p><b>29 Metrology Needs for 2.5D/3D Interconnects 393<br /> </b><i>Victor H. Vartanian, Richard A. Allen, Larry Smith, Klaus Hummler, Steve Olson, and Brian Sapp</i></p> <p>29.1 Introduction: 2.5D and 3D Reference Flows 393</p> <p>29.2 TSV Formation 394</p> <p>29.2.1 TSV Etch Metrology 395</p> <p>29.2.2 Liner, Barrier, and Seed Metrology 397</p> <p>29.2.3 Copper Fill Metrology (TSV Voids) 399</p> <p>29.2.4 Cross-Sectional SEM (Focused Ion Beam Milling Sample Preparation) 400</p> <p>29.2.5 X-Ray Microscopy and CT Inspection 400</p> <p>29.2.6 Stress Metrology in Cu and Si 402</p> <p>29.3 MEOL Metrology 404</p> <p>29.3.1 Edge Trim Inspection 405</p> <p>29.3.2 Bond Voids and Bond Strength Metrology 406</p> <p>29.3.2.1 Acoustic Microscopy: Operation 407</p> <p>29.3.2.2 Acoustic Microscopy for Defect Inspection and Review 407</p> <p>29.3.2.3 Other Bond Void Detection Techniques 408</p> <p>29.3.3 Bond Strength Metrology 409</p> <p>29.3.4 Bonded Wafer Thickness, Bow, and Warp 410</p> <p>29.3.4.1 Chromatic White Light 411</p> <p>29.3.4.2 Infrared Interferometry 412</p> <p>29.3.4.3 White Light Interferometry (or Coherence Scanning Interferometry) 414</p> <p>29.3.4.4 Laser Profiling 415</p> <p>29.3.4.5 Capacitance Probes 416</p> <p>29.3.4.6 Differential Backpressure Metrology 417</p> <p>29.3.4.7 Acoustic Microscopy for Measuring Bonded Wafer Thickness 417</p> <p>29.3.5 TSV Reveal Metrology 418</p> <p>29.4 Assembly and Packaging Metrology 420</p> <p>29.4.1 Wafer-Level C4 Bump and Microbump Metrology and Inspection 421</p> <p>29.4.2 Package-Level Inspection: Scanning Acoustic Microscopy 422</p> <p>29.4.3 Package-Level Inspection: X-Rays 424</p> <p>29.5 Summary 426</p> <p>References 427</p> <p>Index 431</p>
<b>Philip Garrou</b> is a consultant and expert witness in the field of IC packaging materials and applications, prior to which he was Dir. of Technology and Business Dev. for Dow Chemicals' Electronic Materials business. Dr. Garrou is a Fellow of IEEE and IMAPS and served as President of the IEEE CPMT Society and IMAPS. He has co-authored 3 microelectronics texts and 100+ publications. He is Assoc. Ed. and author of the weekly blog "Insights from the Leading Edge" for Solid State Technology and has co-authored 3DIC reports for both TechSearch and Yole.<br /><br /><b>Mitsumasa Koyanagi</b> is Professor in the Graduate School of Engineering at Tohoku University, Japan. After his PhD in electrical engineering he joined the Central Research Laboratory of Hitachi where he was engaged in the research on semiconductor memories. After a three-year stay at the Xerox Palo Alto Research Center in California, USA, he became Professor in the Research Center for Integrated Systems at Hiroshima University, Japan. Mitsumasa Koyanagi received numerous awards, including the Solid-State Devices and Materials Award.<br /><br /><b>Peter Ramm</b> is head of the department Heterogeneous System Integration of Fraunhofer EMFT in Munich, Germany, where he is responsible for the key competence "Si Processes, Device and 3D Integration". He received the physics and Dr. rer. nat. degrees from the University of Regensburg and subsequently worked for Siemens in the DRAM facility where he was responsible for the process integration. In 1988 he joined Fraunhofer IFT in Munich, focusing for more than 25 years on 3D integration technologies. Peter Ramm is co-author of over 100 publications and 24 patents and editor of Wiley's "Handbook of Wafer Bonding". He received the "Ashman Award 2009" from IMAPS "For Pioneering Work on 3D IC Stacking and Integration".
Edited by key figures in 3D integration and written by top authors from high-tech companies and renowned research institutions, this book covers the intricate details of 3D process technology.<br> As such, the main focus is on silicon via formation, bonding and debonding, thinning, via reveal and backside processing, both from a technological and a materials science perspective. The last part of the book is concerned with assessing and enhancing the reliability of the 3D integrated devices, which is a prerequisite for the large-scale implementation of this emerging technology.<br> Invaluable reading for materials scientists, semiconductor physicists, and those working in the semiconductor industry, as well as IT and electrical engineers.<br>

Diese Produkte könnten Sie auch interessieren: